Thèse soutenue

Un circuit de réception GPS tolérant aux erreurs de l’électronique
FR  |  
EN
Accès à la thèse
Auteur / Autrice : Mohamed Mourad Hafidhi
Direction : Emmanuel Boutillon
Type : Thèse de doctorat
Discipline(s) : Stic
Date : Soutenance le 16/11/2017
Etablissement(s) : Lorient
Ecole(s) doctorale(s) : École doctorale Santé, information-communication et mathématiques, matière (Brest, Finistère)
Partenaire(s) de recherche : Laboratoire : Laboratoire des sciences et techniques de l'information- de la communication et de la connaissance
Jury : Président / Présidente : Jean-Didier Legat
Examinateurs / Examinatrices : Arnaud Dion, Fabienne Uzel-Nouvel
Rapporteurs / Rapporteuses : Patrick Girard, Emmanuel Simeu

Mots clés

FR  |  
EN

Mots clés libres

Résumé

FR  |  
EN

La réduction de la taille des transistors et des tensions d’alimentations permettent de concevoir des circuits intégrés de plus en plus complexes. Cependant, en abordant les limites de l’intégration des transistors et en fleuretant avec les tensions d’alimentation minimale, la fiabilité des circuits n’est plus garantie : des erreurs dues aux perturbations environnementales peuvent apparaitre. L’apparition de ces erreurs affectent le comportement du circuit et peuvent, par intermittence ou de façon permanente, le rendre inapte à rendre le service pour lequel il a été conçu. Par conséquent, il est de plus en plus important de considérer les effets de ces erreurs dans la conception des futurs circuits. L’objectif de la thèse est de traiter la fiabilité des systèmes numériques et d’introduire de nouvelles techniques de tolérance aux pannes permettant de construire des applications de traitement de signal fiables sur un électronique peu fiable. Un exemple d’application a été considéré durant la thèse : les modules de poursuite dans un récepteur GPS. Ces modules contiennent un ensemble d’applications de traitement de signal avec des exigences de fiabilité différentes : fonction de corrélation, boucles de rétroactions, machines d’états, générateurs de codes et de porteuses. À partir d’une version standard d’un récepteur GPS, des mécanismes de redondance ont été proposés et ajoutés pour concevoir un récepteur GPS plus tolérant aux erreurs. Un circuit intégré (ASIC) sera conçu en utilisant une technologie 28 nm pour valider les performances de ces techniques et faire les tests de mesures de consommation d’énergie. Au cours de la thèse, une plate-forme d’émulation a été conçue pour préparer l’environnement expérimental à utiliser une fois l’ASIC fondu.