Thèse soutenue

Effets thermiques dans les empilements 3d de puces électroniques : études numériques et expérimentales
FR  |  
EN
Accès à la thèse
Auteur / Autrice : Papa Momar Souare
Direction : Andras Borbely
Type : Thèse de doctorat
Discipline(s) : Sciences et génie des Matériaux
Date : Soutenance le 27/11/2014
Etablissement(s) : Saint-Etienne, EMSE
Ecole(s) doctorale(s) : ED SIS 488
Partenaire(s) de recherche : Entreprise : CEA Leti - Minatec campus
Laboratoire : Département Microstructures et Propriétés Mécaniques
Jury : Président / Présidente : Karim Inal
Examinateurs / Examinatrices : Andras Borbely, Karim Inal, Yvan Avenas, Luc G. Fréchette, Jean-Philippe Colonna, Perceval Coudrain, Vincent Fiori
Rapporteurs / Rapporteuses : Yvan Avenas, Luc G. Fréchette

Résumé

FR  |  
EN

On assiste aujourd’hui à une évolution des systèmes électroniques nomades vers des fonctionnalités plus avancées. Cette complexification des systèmes électroniques nomades nécessite une augmentation de la puissance de calcul des puces électronique, ce qui se peut se traduire par une utilisation d’une technologie CMOS agressive, mais qui se complète aujourd’hui par une technique appelée intégration 3D. Il ne s’agit donc plus d’une évolution classique à l’échelle du transistor suivant la loi de Moore mais à celle de l’échelle plus large du boîtier / système, on parle alors de la loi de « More than Moore ». L’empilement tridimensionnel (3D) des puces électroniques engendre une augmentation de la densité de puissance totale dissipée par unité de surface de l’empilement final. Cette puissance, résultant essentiellement de l’effet joule dans les transistors et l’interconnexion, est une source de chaleur qui contribue à l’augmentation de la température globale de la puce. L’objectif global de cette thèse est d’étudier les échanges thermiques dans un empilement de puces 3D durant leur fonctionnement. On s’attachera à comprendre les effets géométriques ou matériaux de l’empilement ainsi que l’impact du placement des TSV, Bumps ... sur ces échanges thermiques. L’étude s’appuie sur des simulations numériques validées par des mesures expérimentales sur des empilements 3D. Ces études numérique et expérimentale auront comme finalité de déduire des règles de dessin thermiques qui seront validées sur le dessin de circuits basiques ou plus complexes. Dans la suite, ces différents objectifs seront motivés et abordés en détail. L’établissement d’un modèle thermique basé sur des simulations en éléments finis d’un procédé industriel CMOS 65 nm 3D permettra d’aborder le problème de modélisation de la manière la plus précise possible. En effet, les précédentes simulations ont utilisé des modèles compacts – donc de moindre précision que les éléments finis – et un procédé générique qui ne reflète pas toutes les propriétés des matériaux, et en particulier celles des interfaces. Les résultats ainsi obtenus seront validés par des mesures sur des puces empilées réalisées dans le procédé considéré. Dans cette partie expérimentale, l’objectif est de déterminer une cartographie de la température dans un empilement 3D en utilisant des capteurs embarqués dans le silicium, et ce sous différentes conditions d’opération de la puce 3D. Il en ressortira un modèle numérique validé et calibré par des mesures expérimentales.