Thèse soutenue

FR
Accès à la thèse
Auteur / Autrice : Abbas Sheibanyrad
Direction : Alain Greiner
Type : Thèse de doctorat
Discipline(s) : Informatique. Microélectronique
Date : Soutenance en 2008
Etablissement(s) : Paris 6

Résumé

FR

En raison de limitations physiques, il est désormais extrêmement difficile, si pas impossible, de faire distribuer un signal d'horloge globale synchrone sur une vaste zone de la puce. Comme une solution, les Réseaux-sur-Puce (NoCs) qui utilisent les techniques de Globalement Asynchrone Localement Synchrone (GALS), divisent la puce en plusieurs zones synchrones indépendantes. Chaque zone est cadencée par un signal d'horloge différente, et de cette façon le problème est réduit à un certain nombre de sous-problèmes plus petits. Le réseau pourrait être l'infrastructure de communication globale asynchrone du système. Mais, comment le réseau lui-même doit être cadencé et comment nous pouvons traiter le problème de la synchronisation des horloges en frontières. Cette thèse de doctorat, dans le fond, essaie de répondre à ces deux questions. Un réseau avec une conception entièrement asynchrone, qui n'implique pas la question de la synchronisation, est une approche naturelle pour construire des architectures GALS. Un NoC asynchrone limite la demande de synchronisation seulement aux interfaces du réseau, où les données synchrones doivent entrer dans le réseau asynchrone et les données asynchrones dans les sous-systèmes synchrones. ASPIN (Asynchronous Scalable Packet-switching Integrated Network), présenté dans ce manuscrit, est un réseau asynchrone qui utilise deux FIFOs spéciales pour connecter les IPs synchrones au réseau asynchrone. Au début, l’implémentation détaillée de l’architecture de routeur ASPIN et de deux nouvelles conceptions pour le FIFO synchrone-asynchrone (SA_FIFO) et le FIFO asynchrone-synchrone (AS_FIFO) comme les interfaces du réseau sont élaborées. Tous les dessins ont été physiquement implémentés, et les caractéristiques électriques ont été évaluées par la simulation SPICE poste-layout. Malgré que les NoCs sont beaucoup plus évolutif que les interconnexions traditionnelles, lorsque le nombre de composants générant du trafic augmente, le seuil de saturation du réseau diminue, et parfois il devient le goulot d'étranglement du système. Nous évaluons le seuil de saturation des réseaux ASPIN et DSPIN. DSPIN (Scalable Distributed Packet-switching Integrated Network) est un réseau multi-synchrone bien adapté au paradigme GALS. En réalité ASPIN est l’implémentation asynchrone de DSPIN. Dans l’évaluation de seuil de saturation l'influence des deux paramètres est prise en compte: la capacité de stockage des flits et le débit du réseau. Dans les grands systèmes, où il y a de nombreux éléments à interconnecter, le seuil de saturation du réseau a une faible valeur et déclare un problème. Nous proposons une nouvelle méthode pour améliorer le seuil de saturation dans les réseaux asynchrones rapides: en utilisant un algorithme Quasi-Store-and-Forward (QSF) au lieu de routage bout-en-bout wormhole. Dans cette approche, tous les flits d'un paquet s'accumulent dans le format asynchrone avant d'entrer dans le réseau. Comme DSPIN et ASPIN utilisent la même architecture générale et fournissent les mêmes services, une comparaison systématique entre leurs paramètres de performances physiques, présentée dans cette thèse, peut aider à répondre à cette question que quelle type de l’architecture pourrait être plus satisfaisant à implémenter, synchrone ou asynchrone? Les caractéristiques physiques sont la surface en silicium, la latence de paquet, le débit de communication, et la consommation d'énergie. Comme un facteur prédominant, dans les évaluations les effets des longs fils ont été pris en compte.